Find Jobs
Hire Freelancers

I need your help in writing c code for a circuit

$10-11 USD

종료됨
게시됨 8년 이상 전

$10-11 USD

제출할때 지불됩니다
I need your help in writing c code for chasing led circuit (VHDL) please check attached file for details can pay 10 $ and best review and need in an hour or 2
프로젝트 ID: 8440761

프로젝트 정보

19 제안서
원격근무 프로젝트
활동 중 9년 전

돈을 좀 벌 생각이십니까?

프리랜서 입찰의 이점

예산 및 기간 설정
작업 결과에 대한 급여 수급
제안의 개요를 자세히 쓰세요
무료로 프로젝트에 신청하고 입찰할 수 있습니다
19 이 프로젝트에 프리랜서들의 평균 입찰은 $20 USD입니다.
사용자 아바타
Dear sir I have more than 8 years experience in digital design using vhdl please check my profile also please message me so that we can discuss
$15 USD 0일에
5.0 (184 건의 리뷰)
7.2
7.2
사용자 아바타
A proposal has not yet been provided
$15 USD 1일에
4.8 (70 건의 리뷰)
5.9
5.9
사용자 아바타
Hello! You know me I can help you in no time! Send me a message! Have a nice day! .
$10 USD 0일에
4.9 (37 건의 리뷰)
5.1
5.1
사용자 아바타
A proposal has not yet been provided
$15 USD 1일에
4.7 (14 건의 리뷰)
4.8
4.8
사용자 아바타
I had done MS in Engineering. Also Had 8+ years of experience in the field of programming using Verilog HDL and VHDL. I can do this task for you
$111 USD 1일에
5.0 (13 건의 리뷰)
4.7
4.7
사용자 아바타
I can acomplish this work in few hours. I have 10+ years of experience in the same area. please let me know how to take this further ?
$15 USD 1일에
4.7 (11 건의 리뷰)
4.2
4.2
사용자 아바타
Hello Sir, I will do it for you sir. I am new here and looking to start my career here. please give me a chance. Thank you
$29 USD 1일에
5.0 (1 건의 리뷰)
1.6
1.6
사용자 아바타
Please I need clarification on this project. .
$10 USD 1일에
0.0 (0 건의 리뷰)
0.0
0.0
사용자 아바타
I am an electrical engineer specialized in electronics and communication and also working as instructor in University.I have very good expertise in circuit designing , digital design, communication system etc. I am also Lab instructor in University and have conducted Labs of Circuit design, Digital design. I have also supervised many projects related to Electric and Electronics circuits, Digital design and microcontroller Based Circuits.
$25 USD 1일에
0.0 (0 건의 리뷰)
0.0
0.0
사용자 아바타
A proposal has not yet been provided
$10 USD 1일에
0.0 (0 건의 리뷰)
0.0
0.0
사용자 아바타
A proposal has not yet been provided
$15 USD 1일에
0.0 (0 건의 리뷰)
0.0
0.0
사용자 아바타
If you pay me i will complete it.:) code for chasing LED board with 18 LED’s on board enity chase_circuit is port ( i_clk : std_logic, i_reset : std_logic, o_LED_ctl : std_logic_vector(17 downto 0) ); end entity; architecture of chase_circuit is signal led_ctl:std_logic_vector(17 downto 0); signal counter:std_logic_vector(15 downto 0); begin process(i_clk,i_reset) begin if(NOT(reset) == ‘1’) then counter <= x”FFFF”; --change this value to decide speed if you increase the value the L else if(i_clk’event and i_clk=’1’)then if(counter = 0)then counter <= x”FFFF”; --LEDs will move at a slow pace elsif(counter /= x”0000”) counter <= counter - ‘1’; end if; end if; end if; end process; process(i_clk,i_reset) begin if(NOT(reset) == ‘1’) then LED(17 downto 0) <= “100000000000000000” else if((counter = 0) && (direction == ‘0’))then LED(17 downto 0) <= ‘0’ && LED(17 downto 1); elsif((counter = 0) && (direction == ‘1’))then LED(17 downto 0) <= LED(16 downto 0) && ‘0’; else LED(17 downto 0) <= LED(17 downto 0); end if; end if; end process; process(i_clk,i_reset) begin if(NOT(reset)=’1’)then direction <= ‘0’; else if((counter = 0) && (LED(17 downto 0) = “000000000000000001”))then direction <=
$15 USD 1일에
0.0 (0 건의 리뷰)
0.0
0.0
사용자 아바타
i have a good knowledge about microcontroller programming and have done number of projects.i will do your work easily and accurately.i will make a good function where you have to write what you want to send and specify the to whom you send.
$15 USD 1일에
0.0 (0 건의 리뷰)
0.0
0.0
사용자 아바타
I have extensive knowledge on System C, Verilog and VHDL. I can do the job for you in an hour or two. I have worked on these projects before.
$10 USD 1일에
0.0 (0 건의 리뷰)
0.0
0.0
사용자 아바타
I have done it using altera de0 and could do it again with DE1.
$20 USD 1일에
0.0 (0 건의 리뷰)
0.0
0.0
사용자 아바타
Hi.........I m a VLSI trainer in govt of india authorized center. I hope can do this within a less period of time. Hope to get a reply from u as soon as possible. Thank u
$12 USD 1일에
0.0 (0 건의 리뷰)
1.2
1.2
사용자 아바타
A proposal has not yet been provided
$15 USD 0일에
0.0 (0 건의 리뷰)
0.0
0.0
사용자 아바타
A proposal has not yet been provided
$10 USD 1일에
0.0 (0 건의 리뷰)
0.0
0.0
사용자 아바타
Hi I am a electronics student here at IIT, Khargapur. Studying at the best college of electronics In India. Since I am a 4th year student a have recently gone through all the details of the concept which your project might require. And also since now a days I am free so I can work as much as 15-20hours/week. Since I am in college so I also have the knowledge of most the software's which are required for project work and I have unlimited access to these software's. Recently I also developed a product for a MNC using c/c++ and verilog so I have a very good understanding of it. So please let me do your project and I will never let you down.
$10 USD 0일에
0.0 (0 건의 리뷰)
0.0
0.0

고객에 대한 정보

국기 (OMAN)
Mutrah, Oman
4.9
359
결제 수단 확인
11월 17, 2009부터 회원입니다

고객 확인

감사합니다! 무료 크레딧을 신청할 수 있는 링크를 이메일로 보내드렸습니다.
이메일을 보내는 동안 문제가 발생했습니다. 다시 시도해 주세요.
등록 사용자 전체 등록 건수(일자리)
Freelancer ® is a registered Trademark of Freelancer Technology Pty Limited (ACN 142 189 759)
Copyright © 2024 Freelancer Technology Pty Limited (ACN 142 189 759)
미리 보기 화면을 준비 중...
위치 정보 관련 접근권이 허용되었습니다.
고객님의 로그인 세션이 만료되어, 자동으로 로그아웃 처리가 되었습니다. 다시 로그인하여 주십시오.